1 BALSINIA, PANNOCCHIL, CUCINOTTAT. Modeling and simulation of power consumption and execution times for real-time tasks on embedded heterogeneous architectures [J] ACM SIGBED Review, 2019, 16(3): 51-56. 2 GREENHALGHP. BIG.LITTLE processing with ARM CortexTM-A15 & Cortex-A7 [EB/OL]. [2019-01-10].https://www.cl.cam.ac.uk/~rdm34/big.LITTLE.pdf. 3 PRAKASHA, AMROUCHH, SHAFIQUEM, et al. Improving mobile gaming performance through cooperative CPU-GPU thermal management [C]// Proceedings of the 53rd Annual Design Automation Conference. New York: ACM, 2016: 47. 4 DONYANAVARDB, MüCKT, SARMAS, et al. Sparta: runtime task allocation for energy efficient heterogeneous many-cores [C]// Proceedings of the 2016 International Conference on Hardware/Software Codesign and System Synthesis. Piscataway: IEEE, 2016: 1-10. 5 CHOIS, YEUNGD. Learning-based SMT processor resource distribution via hill-climbing [C]// Proceedings of the 33rd International Symposium on Computer Architecture. Piscataway: IEEE, 2006: 239-251. 6 COCHRANR, HANKENDIC, COSKUNA K, et al. Pack & Cap: adaptive DVFS and thread packing under power caps [C]// Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture. Piscataway: IEEE, 2011: 175-185. 7 RAGHAVENDRAR, RANGANATHANP, TALWARV, et al. No “power” struggles: coordinated multi-level power management for the data center [J]. ACM SIGOPS Operating Systems Review, 2008, 42(2): 48-59. 8 TEMBEYP, GAVRILOVSKAA, SCHWANK. A case for coordinated resource management in heterogeneous multicore platforms [C]// Proceedings of the 2010 International Symposium on Computer Architecture, LNCS 6161. Berlin: Springer, 2010: 341-356. 9 VEGAA, BUYUKTOSUNOGLUA, HANSONH, et al. Crank it up or dial it down: coordinated multiprocessor frequency and folding control [C]// Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture. Piscataway: IEEE, 2013: 210-221. 10 KESHANCHIB, SOURIA, NAVIMIPOURN J. An improved genetic algorithm for task scheduling in the cloud environments using the priority queues: formal verification, simulation, and statistical testing [J]. Journal of Systems and Software, 2017, 124: 1-21. 11 CAIH, WUH. An improved formalization analysis approach to determine schedulability of global multiprocessor scheduling based on symbolic safety analysis and statistical model checking in smartphone systems [J]. Cluster Computing, 2019, 22(2): 2543-2554. 12 REZAM F, ZHAOD, WUH. Task-resource co-allocation for hotspot minimization in heterogeneous many-core NoCs [C]// Proceedings of the 26th ACM International on Great Lakes Symposium on VLSI. New York: ACM, 2016: 137-140. 13 NEMIROVSKYD, ARKOSET, MARKOVICN, et al. A machine learning approach for performance prediction and scheduling on heterogeneous CPUs [C]// Proceedings of the 29th International Symposium on Computer Architecture and High Performance Computing. Piscataway: IEEE, 2017: 121-128. 14 ZHANGY, LAURENZANOM A, MARSJ, et al. SMiTe: precise QoS prediction on real-system SMT processors to improve utilization in warehouse scale computers [C]// Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture. Piscataway: IEEE, 2014: 406-418. 15 MICHALSKAM, CASALE-BRUNETS, BEZATIE, et al. High-precision performance estimation for the design space exploration of dynamic dataflow programs [J]. IEEE Transactions on Multi-Scale Computing Systems, 2018, 4(2): 127-140. 16 GUPTAU, CAMPBELLJ, OGRASU Y, et al. Adaptive performance prediction for integrated GPUs [C]// Proceedings of the 35th International Conference on Computer-Aided Design. New York: ACM, 2016: Article No.61. 17 SAYADIH, PATELN, SASANA, et al. Machine learning-based approaches for energy-efficiency prediction and scheduling in composite cores architectures [C]// Proceedings of the 2017 IEEE International Conference on Computer Design. Piscataway: IEEE, 2017: 129-136. 18 WANGL, LIUS, LUC, et al. Stable matching scheduler for single-ISA heterogeneous multi-core processors [C]// Proceedings of the 2015 International Workshop on Advanced Parallel Processing Technologies, LNCS 9231. Cham: Springer, 2015: 45-59. 19 BITIRGENR, IPEKE, MARTINEZJ F. Coordinated management of multiple interacting resources in chip multiprocessors: a machine learning approach [C]// Proceedings of the 41st IEEE/ACM International Symposium on Microarchitecture. Piscataway: IEEE, 2008: 318-329. 20 DUBACHC, JONEST M, BONILLAE V, et al. A predictive model for dynamic microarchitectural adaptivity control [C]// Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture. Piscataway: IEEE, 2010: 485-496. 21 ROTEME. Intel architecture, code name Skylake deep dive: a new architecture to manage power performance and energy efficiency [EB/OL] [2019-09-01]. https://en.wikichip.org/w/images/8/83/Intel_Architecture%2C_Code_Name_Skylake_Deep_Dive-_A_ New_Architecture_to_Manage_Power_Performance_and_Energy_ Efficiency.pdf. 22 BARTOLINIA, CACCIARIM, TILLIA, et al. A distributed and self-calibrating model-predictive controller for energy and thermal management of high-performance multicores [C]// Proceedings of the 2011 Design, Automation and Test in Europe Conference. Piscataway: IEEE, 2011: 1-6. 23 FUX, KABIRK, WANGX. Cache-aware utilization control for energy efficiency in multi-core real-time systems [C]// Proceedings of the 23rd Euromicro Conference on Real-Time Systems. Piscataway: IEEE, 2011: 102-111. 24 MAK, LIX, CHENM, et al. Scalable power control for many-core architectures running multi-threaded applications[C]// Proceedings of the 38th Annual International Symposium on Computer Architecture. New York: ACM, 2011: 449-460. 25 POTHUKUCHIR P, ANSARIA, VOULGARISP, et al. Using multiple input, multiple output formal control to maximize resource efficiency in architectures [C]// Proceedings of the ACM/IEEE 43rd Annual International Symposium on Computer Architecture. Piscataway: IEEE, 2016: 658-670. 26 RAHMANIA M, DONYANAVARDB, MüCKT, et al. SPECTR: formal supervisory control and coordination for many-core systems resource management [J]. ACM SIGPLAN Notices, 2018, 53(2): 169-183. 27 ANX, RUTTENE, DIGUETJ P, et al. Model-based design of correct controllers for dynamically reconfigurable architectures [J]. ACM Transactions on Embedded Computing Systems, 2016, 15(3): Article No.51. 28 ALTISENK, CLODICA, MARANINCHIF, et al. Using controller-synthesis techniques to build property-enforcing layers [C]// Proceedings of the 2003 European Symposium on Programming, LNCS 2618. Berlin: Springer, 2003: 174-188. 29 DUMITRESCUE, GIRAULTA, MARCHANDH, et al. Multicriteria optimal discrete controller synthesis for fault-tolerant tasks [C]// Proceedings of the 10th International Workshop on Discrete Event Systems. Berlin: Springer, 2010: 356-363. 30 RAMADGEP J G, WONHAMW M. The control of discrete event systems [J]. Proceedings of the IEEE, 1989, 77(1): 81-98. 31 DELAVALG, MARCHANDH, RUTTENE. Contracts for modular discrete controller synthesis [J]. ACM SIGPLAN Notices, 2010, 45(4): 57-66. 32 GAMATIéA, YUH, DELAVALG, et al. A case study on controller synthesis for data-intensive embedded systems [C]// Proceedings of the 2009 International Conference on Embedded Software and Systems. Piscataway: IEEE, 2009: 75-82. 33 MARCHANDH, SAMAANM. Incremental design of a power transformer station controller using a controller synthesis methodology [J]. IEEE Transactions on Software Engineering, 2000, 26(8): 729-741. 34 REDDYB K, SINGHA K, BISWASD, et al. Inter-cluster thread-to-core mapping and DVFS on heterogeneous multi-cores[J]. IEEE Transactions on Multi-Scale Computing Systems, 2018, 4(3): 369-382. 35 BENVENISTEA, CASPIP, EDWARDSS A, et al. The synchronous languages 12 years later [J]. Proceedings of the IEEE, 2003, 91(1):64-83. |